• Что такое пзу информатика. Типы пзу. Из чего состоит постоянное запоминающее устройство

    Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

    Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

    Размещено на http://www.allbest.ru/

    Размещено на http://www.allbest.ru/

    Новгородский Государственный университет им. Я. Мудрого

    Реферат

    На тему «Постоянные запоминающие устройства. Основные характеристики, область применения»

    Выполнила: студентка 1 курса гр. 5261

    Бронина Ксения

    Проверила: Архипова Гелиря Асхатовна

    Великий Новгород, 2016 г

    1. Понятие постоянного запоминающего устройства

    1.1 Основные характеристики ПЗУ

    1.2 Классификация ПЗУ

    1.2.1 По типу исполнения

    1.2.2 По разновидностям микросхем ПЗУ

    1.2.3 По способу программирования микросхем (записи в них прошивки)

    2. Применение

    3. Исторические типы ПЗУ

    Литература

    1. Понятие постоянного запоминающего устройства

    Постоянное запоминающее устройство (ПЗУ, или ROM-- Read Only Memory, память только для чтения) также строится на основе установленных на материнской плате модулей (кассет) и используется для хранения неизменяемой информации: загрузочных программ операционной системы, программ тестирования устройств компьютера и некоторых драйверов базовой системы ввода-вывода (BIOS) и т. д.

    К постоянной памяти относят постоянное запоминающее устройство, ПЗУ (в англоязычной литературе - Read Only Memory, ROM, что дословно переводится как "память только для чтения"), перепрограммируемое ПЗУ, ППЗУ (в англоязычной литературе - Programmable Read Only Memory, PROM), и флэш-память (flash memory). Название ПЗУ говорит само за себя. Информация в ПЗУ записывается на заводе-изготовителе микросхем памяти, и в дальнейшем изменить ее значение нельзя. В ПЗУ хранится критически важная для компьютера информация, которая не зависит от выбора операционной системы. Программируемое ПЗУ отличается от обычного тем, что информация на этой микросхеме может стираться специальными методами (например, лучами ультрафиолета), после чего пользователь может повторно записать на нее информацию. Эту информацию будет невозможно удалить до следующей операции стирания информации.

    К ПЗУ принято относить энергонезависимые постоянные и «полупостоянные» запоминающие устройства, из которых оперативно можно только считывать информацию, запись информации в ПЗУ выполняется вне ПК в лабораторных условиях или при наличии специального программатора и в компьютере. По технологии записи информации можно выделить ПЗУ следующих типов:

    § микросхемы, программируемые только при изготовлении, -- классические или масочные ПЗУ или ROM;

    § микросхемы, программируемые однократно в лабораторных условиях, -- программируемые ПЗУ (ППЗУ), или programmable ROM (PROM);

    § микросхемы, программируемые многократно, -- перепрограммируемые ПЗУ или erasable PROM (EPROM). Среди них следует отметить электрически перепрограммируемые микросхемы EEPROM (Electrical Erasable PROM), в том числе флеш-память.

    1.1 Основные характеристики ПЗУ

    Данные в постоянном запоминающем устройстве (ПЗУ) хранятся постоянно. Данные, хранящиеся постоянно, называются энергонезависимыми, что означает, что они сохраняются в ПЗУ даже при выключении питания. Как только данные записаны в ПЗУ, они могут считываться другими устройствами, но новые данные быть записаны в ПЗУ не могут.

    ПЗУ наиболее широко используется для хранения так называемой “программы монитора”. Программа монитора это машинная программа, позволяющая пользователю микрокомпьютерной системы просматривать и изменять все функции системы, включая память. Другим широким применением ПЗУ является хранение фиксированных таблиц данных, таких как математические функции, которые никогда не меняются.

    Цифровыми компьютерными системами широко используются четыре типа ПЗУ: ПЗУ с масочным программированием, программируемое ПЗУ (ППЗУ), стираемое программируемое ПЗУ (СППЗУ) и электрически программируемое ПЗУ (ЭППЗУ).

    1.2 Классификация ПЗУ

    1.2.1 По типу исполнения

    Массив данных совмещён с устройством выборки (считывающим устройством), в этом случае массив данных часто в разговоре называется «прошивка»:

    § микросхема ПЗУ;

    § Один из внутренних ресурсов однокристальной микро ЭВМ (микроконтроллера), как правило FlashROM.

    Массив данных существует самостоятельно :

    § компакт-диск;

    § перфокарта;

    § перфолента;

    § штрих-коды;

    § монтажные «1» и монтажные «0».

    1.2.2 По разновидностям микросхем ПЗУ

    По технологии изготовления кристалла:

    § RO M англ. read-only memory - постоянное запоминающее устройство, масочное ПЗУ, изготавливается фабричным методом. В дальнейшем нет возможности изменить записанные данные.

    Рисунок 1. Масочное ПЗУ

    § PRO M англ. programmable read-only memory -- программируемое ПЗУ, однократно «прошиваемое» пользователем.

    Рисунок 2. Программируемое ПЗУ

    § EPROM англ. erasable programmable read-only memory - перепрограммируемое/репрограммируемое ПЗУ (ПППЗУ/РПЗУ)). Например, содержимое микросхемы К573РФ1 стиралось при помощи ультрафиолетовой лампы. Для прохождения ультрафиолетовых лучей к кристаллу в корпусе микросхемы было предусмотрено окошко с кварцевым стеклом.

    Рисунок 3. Перепрограммируемое ПЗУ

    § EEPROM англ. electrically erasable programmable read-only memory - электрически стираемое перепрограммируемое ПЗУ). Память такого типа может стираться и заполняться данными несколько десятков тысяч раз. Используется в твердотельных накопителях. Одной из разновидностей EEPROM является флеш-память (англ. flash memory).

    Рисунок 4. Стираемое ПЗУ

    § ПЗУ на магнитных доменах, например К1602РЦ5, имело сложное устройство выборки и хранило довольно большой объём данных в виде намагниченных областей кристалла, при этом не имея движущихся частей (см. Компьютерная память). Обеспечивалось неограниченное количество циклов перезаписи.

    § NVRAM, non-volatile memory -- «неразрушающаяся» память, строго говоря, не является ПЗУ. Это ОЗУ небольшого объёма, конструктивно совмещённое с батарейкой. В СССР такие устройства часто назывались «Dallas» по имени фирмы, выпустившей их на рынок. В NVRAM современных ЭВМ батарейка уже конструктивно не связана с ОЗУ и может быть заменена.

    По виду доступа :

    § С параллельным доступом (parallel mode или random access): такое ПЗУ может быть доступно в системе в адресном пространстве ОЗУ. Например, К573РФ5;

    § С последовательным доступом: такие ПЗУ часто используются для однократной загрузки констант или прошивки в процессор или ПЛИС, используются для хранения настроек каналов телевизора, и др. Например, 93С46, AT17LV512A.

    1.2.3 По способу программирования микросхем (записи в них прошивки)

    § Непрограммируемые ПЗУ;

    § ПЗУ, программируемые только с помощью специального устройства -- программатора ПЗУ (как однократно, так и многократно прошиваемые). Использование программатора необходимо, в частности, для подачи нестандартных и относительно высоких напряжений (до +/- 27 В) на специальные выводы.

    § Внутрисхемно (пере)программируемые ПЗУ (ISP, in-system programming) -- такие микросхемы имеют внутри генератор всех необходимых высоких напряжений, и могут быть перепрошиты без программатора и даже без выпайки из печатной платы, программным способом.

    запоминающий микросхема программирование моноскоп

    2. Применение

    В постоянную память часто записывают микропрограмму управления техническим устройством: телевизором, сотовым телефоном, различными контроллерами, или компьютером (BIOS или OpenBoot на машинах SPARC).

    BootROM -- прошивка, такая, что если её записать в подходящую микросхему ПЗУ, установленную в сетевой карте, то становится возможна загрузка операционной системы на компьютер с удалённого узла локальной сети. Для встроенных в ЭВМ сетевых плат BootROM можно активировать через BIOS.

    ПЗУ в IBM PC-совместимых ЭВМ располагается в адресном пространстве с F600:0000 по FD00:0FFF

    3. Исторические типы ПЗУ

    Постоянные запоминающие устройства стали находить применение в технике задолго до появления ЭВМ и электронных приборов. В частности, одним из первых типов ПЗУ был кулачковый валик, применявшийся в шарманках, музыкальных шкатулках, часах с боем.

    С развитием электронной техники и ЭВМ возникла необходимость в быстродействующих ПЗУ. В эпоху вакуумной электроники находили применение ПЗУ на основе потенциалоскопов, моноскопов, лучевых ламп. В ЭВМ на базе транзисторов в качестве ПЗУ небольшой ёмкости широко использовались штепсельные матрицы. При необходимости хранения больших объёмов данных (для ЭВМ первых поколений -- несколько десятков килобайт) применялись ПЗУ на базе ферритовых колец (не следует путать их с похожими типами ОЗУ). Именно от этих типов ПЗУ и берёт своё начало термин «прошивка» -- логическое состояние ячейки задавалось направлением навивки провода, охватывающего кольцо. Поскольку тонкий провод требовалось протягивать через цепочку ферритовых колец для выполнения этой операции применялись металлические иглы, аналогичные швейным. Да и сама операция наполнения ПЗУ информацией напоминала процесс шитья.

    Литература

    Угрюмов Е. П. Цифровая схемотехника БХВ-Петербург (2005) Глава 5.

    Размещено на Allbest.ru

    Подобные документы

      Иерархия запоминающих устройств ЭВМ. Микросхемы и системы памяти. Оперативные запоминающие устройства. Принцип работы запоминающего устройства. Предельно допустимые режимы эксплуатации. Увеличение объема памяти, разрядности и числа хранимых слов.

      курсовая работа , добавлен 14.12.2012

      Запоминающие устройства: винчестеры, дискеты,стримеры, флэш-карты памяти, MO-накопители, оптические: CD-R, CD-RW, DVD-R, DVD-RW, и новейшие запоминающие устройства. Информацию необходимо сохранять на носителях, не зависящих от наличия напряжения.

      реферат , добавлен 01.03.2006

      Понятие информации, ее измерение, количество и качество информации. Запоминающие устройства: классификация, принцип работы, основные характеристики. Организация и средства человеко-машинного интерфейса, мультисреды и гиперсред. Электронные таблицы.

      отчет по практике , добавлен 09.09.2014

      Проектирование программатора микросхем AT17C010, обоснование режимов функционирования узлов микроконтроллера, аппаратных средств, достаточности программных ресурсов. Принципиальная схема устройства, рекомендации по разработке диагностических средств.

      курсовая работа , добавлен 19.12.2010

      Проектирование элементов микросхем ПЗУ и ОЗУ с помощью приложения MS Visio 2010. Деление и расширение адресного пространства. Расчет дополнительного оперативного запоминающего устройства и проверка компонентов системы на электрическое взаимодействие.

      курсовая работа , добавлен 08.11.2014

      Запоминающие устройства компьютера. Создание системы памяти. Характеристика микросхем динамических запоминающих устройств. Выполнение арифметических, логических или служебных операций. Ярусно-параллельная форма алгоритма. Степень и уровни параллелизма.

      презентация , добавлен 28.03.2015

      Микропроцессорный комплект cерии КР580 - набор микросхем. Основные элементы КР580ВМ80А - 8-разрядный микропроцессора, полный аналог микропроцессора Intel i8080. Применение микропроцессоров в игровых автоматах. Версии выпуска микросхем, и их применение.

      реферат , добавлен 18.02.2010

      Cравнение двух важнейших характеристик - емкость памяти и ее быстродействие. Регистры общего назначения. Функции оперативного запоминающего устройства. Наиболее распространенная форма внешней памяти - жесткий диск. Три основных типа оптических носителей.

      реферат , добавлен 15.01.2015

      Основные составляющие системного блока. Назначение материнской платы. Базовая система ввода-вывода – Bios. Понятие периферийного устройства. Запоминающие устройства и их виды. Открытая архитектура в устройстве ПК. Устройства для ввода и вывода данных.

      реферат , добавлен 18.12.2009

      Расчет статического модуля оперативной памяти и накопителя. Построение принципиальной схемы и временной диаграммы модуля оперативного запоминающего устройства. Проектирование арифметико-логического устройства для деления чисел с фиксированной точкой.

    Важно знать разницу между ОЗУ и ПЗУ. Если вы понимаете эту разницу вы сможете лучше понять, как работает компьютер. ОЗУ и ПЗУ, как различные типы запоминающих устройств, и они оба хранят данные в компьютере. В этой статье мы расскажем вам об основных различиях между этими двумя воспоминаниями, а именно ОЗУ и ПЗУ.

    Random Access Memory (RAM)

    Оперативная память представляет собой тип памяти , которая позволяет получить доступ к хранимым данным в любой последовательности и из любого физического расположения в памяти. RAM могут быть считаны и записаны с новыми данными. Основное преимущество оперативной памяти является то, что она занимает почти такое же время в доступе в него любые данные, независимо от места нахождения данных. Это делает RAM очень быстрой памяти. Компьютеры могут читать из памяти очень быстро, а также они могут записывать новые данные в оперативной памяти очень быстро.

    Как RAM выглядит?

    Коммерчески доступные обычные чипы памяти могут быть легко подключен в и подключен выход материнской платы компьютера. На следующем рисунке показаны чипы памяти.

    Постоянное запоминающее устройство (ПЗУ)

    Как следует из названия, данные записываются в ПЗУ только один раз и навсегда. После этого, данные могут быть прочитаны только с помощью компьютеров. Только для чтения памяти часто используется, чтобы установить постоянные инструкции в компьютер. Эти инструкции никогда не изменится. Чипы ROM хранить базовую систему ввода / вывода (BIOS) компьютера. На следующем рисунке показан коммерчески доступный чип ROM BIOS.

    Разница между ОЗУ и ПЗУ

    В следующей таблице перечислены основные различия между произвольным доступом и только для чтения памяти.

    Сравнительная таблица ОЗУ и ПЗУ
    ОЗУ ПЗУ
    1. Подставки для RANDON-доступа памяти Подставки для памяти только для чтения
    2. RAM для чтения и записи в память Обычно ПЗУ постоянное запоминающее устройство и оно не может быть перезаписана. Тем не менее, СППЗУ может быть перепрограммирован
    3. RAM быстрее ROM относительно медленнее, чем RAM
    4. Оперативная память представляет собой энергонезависимое запоминающее устройство. Это означает, что данные в оперативной памяти будут потеряны, если блок питания отсечку ROM является постоянной памяти. Данные в ПЗУ будет оставаться как есть, даже если мы удалим источника питания
    5. Есть в основном два типа оперативной памяти; статическая оперативная память и динамическое ОЗУ Есть несколько типов ROM; Стираемое программируемое ПЗУ, программируемом ПЗУ, СППЗУ и т.д.
    6. RAM хранит все приложения и данные, когда компьютер работает в нормальном режиме ROM обычно хранятся инструкции, необходимые для запуска (загрузки) компьютера
    7. Цена ОЗУ сравнительно высока чипы ROM сравнительно дешевле
    8. чипы памяти больше по размеру микросхемы ROM меньше по размеру
    9. Процессор может непосредственно получить доступ к содержимому памяти Содержание ROM, как правило, сначала переносится в оперативную память, а затем доступ к процессору. Это делается для того, чтобы иметь возможность получить доступ к содержимому диска с более высокой скоростью.
    10. RAM часто устанавливается с большим объемом памяти. Емкость запоминающего устройства ПЗУ, установленного в компьютере намного меньше, чем RAM

    ОЗУ и ПЗУ являются неотъемлемой частью современной компьютерной системы. Вы хотите знать, когда диск работает и когда RAM находится в игре? Ну, когда вы переключаетесь на вашем компьютере, вы можете увидеть черный экран с каким-то белым текстом. Этот текст из ПЗУ. Инструкции ПЗУ управления компьютером для первого несколько секунд, когда вы включить его. В этот период, как инструкции " , как читать с жесткого диска", "как печатать на экране" загружаются из ПЗУ. После того, как компьютер способен делать эти основные операции, операционная система (Windows / Linux / OSX и т.д.) для чтения с жесткого диска и загружается в оперативную память. Следующее видео объясняет RAM против концепции ROM дополнительно.

    При открытии программы, как Microsoft Word , программа загружается с жесткого диска компьютера в оперативную память.

    Мы надеемся, что эта статья помогла вам понять основные различия между ОЗУ и ПЗУ. Если у вас есть какие-либо вопросы, связанные с этой темой, пожалуйста, не стесняйтесь задавать в разделе комментариев. Мы постараемся помочь вам. Благодарим Вас за использование TechWelkin!

    Основные положения.

    Память в микропроцессорной системе выполняет функцию хранения данных. Различные типы памяти предназначены для хранения различных типов данных. Подробнее это будет рассмотрено ниже.

    Информация в памяти хранится в ячейках, количество разрядов которых равно количеству разрядов шины данных процессора. Обычно оно кратно восьми. Это связано с тем, что байт является восьмиразрядной единицей измерения. Поэтому объём памяти чаще всего измеряется в байтах независимо от разрядности ячейки памяти.

    Допустимое количество ячеек памяти определяется количеством разрядов шины адреса как 2N, где N - количество разрядов шины адреса.

    Используются также следующие более крупные единицы объема памяти: килобайт - 210=1024 байта (обозначается Кбайт), мегабайт – 220=1 048 576 байт (обозначается Мбайт), гигабайт - 230 байт (обозначается Гбайт), терабайт - 240 (обозначается Тбайт). Например, если память имеет 65 536 ячеек, каждая из которых 16-разрядная, то говорят, что память имеет объем 128 Кбайт. Совокупность ячеек памяти называется обычно пространством памятисистемы.

    Для подключения модуля памяти к системной магистрали используются блоки сопряжения, которые включают в себя дешифратор (селектор) адреса, схему обработки управляющих сигналов магистрали и буферы данных (рис. 8.1). Для подключения модуля памяти к системной магистрали используются блоки сопряжения, которые включают в себя дешифратор (селектор) адреса, схему обработки управляющих сигналов магистрали и буферы данных (рис. 2.18).

    Обычно в составе системы имеется несколько модулей памяти, каждый из которых работает в своей области пространства памяти. Селектор адреса как раз и определяет, какая область адресов пространства памяти отведена данному модулю памяти. Схема управления вырабатывает в нужные моменты сигналы разрешения работы памяти (CS – Chip Select) и сигналы разрешения записи в память (WR — Write-Read). Буферы данных передают данные от памяти к магистрали или от магистрали к памяти. В пространстве памяти микропроцессорной системы обычно выделяются несколько особых областей, которые выполняют специальные функции.

    Классификация модулей памяти.

    Классификация памяти необходима для более чёткого понимания того, для чего та или иная память будет использоваться.

    Прежде всего, память делится на две основные подгруппы: постоянное запоминающее устройство (ПЗУ) и оперативное запоминающее устройство (ОЗУ).

    Постоянное запоминающее устройство (ПЗУ).

    Постоянным запоминающим устройством называют энергонезависимую память, т.е. память, не зависящую от наличия напряжения питания на устройстве. В таком устройстве информация может храниться длительное время без подключения его к источнику питания.

    Данный тип памяти предназначен для хранения информации, которая не должна быть уничтожена при пропадании питания на устройстве. К таким данным можно отнести программу для микроконтроллера, данные о настройке этой программы, различные файлы. К файлам могут относиться графические изображения, данные, снятые с датчиков и т.д.

    Существует множество различных реализаций ПЗУ. В микроконтроллерах наибольшую популярность получили две технологии. Это – EEPROM (Electronically Erasable Programmable ROM – электрически стираемая программируемая энергонезависимая память) и flash (Flash Erase EEPROM).

    EEPROM была разработана в 1979 году фирмой Intel. Эта память имеет возможность перепрограммирования при подключении её к стандартной шине процессора. Причём стирание любой ячейки памяти происходит автоматически при записи в неё новых данных. Т.о. в этом типе памяти существует возможность изменить информацию в одной ячейке без затрагивания соседних ячеек.

    Flash память является дальнейшим развитием EEPROM. В ней используется несколько отличный от EEPROM тип ячейки-транзистора. И другая организация доступа к ячейкам памяти. В результате чего доступ к ячейкам стал быстрее. Но стирание в flash памяти производится только для определённого блока данных, либо для всей микросхемы в целом. Стереть один элемент в ней невозможно. А так как запись в этом типе микросхемы (для типа памяти NAND) производится поэлементным «И» текущего состояния ячейки с данными которые надо записать, то верные данные будут записаны в ячейку только в том случае, если в ней будут записаны только одни единицы. Установить в ячейке единицу можно только функцией стирания. Никакой записью данных этого сделать нельзя. Следовательно, для того, чтобы записать данные в одну ячейку памяти, надо скопировать в стороннюю память весь блок, который будет стёрт, стереть его. В памяти поменять значение нужной ячейки и уже изменённый блок записать обратно.

    Как можно видеть работа с отдельными ячейками данных медленная из-за необходимости каждый раз копировать и стирать целый блок данных. Но работа сразу со всем блоком на много быстрее чем в EEPROM.

    Т.о. во Flash имеет смысл хранить информацию, которая будет изменяться редко (или никогда). А в EEPROM можно записывать настройки программы, которые должны сохраниться после отключения устройства от питания.

    Flash память бывает двух типов – это NOR и NAND. NOR (Not OR) имеет быстрый произвольный доступ к ячейкам памяти и возможность побайтовой записи. NAND (Not AND) позволяет производить быструю запись и стирание данных, но имеет несколько большее время произвольного доступа к данным по сравнению с NOR.

    Исходя из особенностей структур памяти, NAND обычно используется для хранения информации, считываемой потоком, такой как видео, музыка и т.д. NOR же используется для хранения программы, благодаря высокой скорости чтения произвольного байта данных.

    ПЗУ имеет относительно низкое быстродействие и не может быть использован для хранения информации, к которой нужен быстрый доступ, такой как переменные.

    Память программы начального запускавсегда выполняется на ПЗУ. Именно с этой области процессор начинает работу после включения питания и после сброса его с помощью сигнала RESET. При наличии у микроконтроллера нескольких типов ПЗУ, зачастую существует выбор с какой из них стартовать программу. Для этого наружу выводится несколько ножек, комбинация сигналов на которых идентифицирует ту или иную ПЗУ.

    Адресация в NAND.

    Для примера работы с ПЗУ рассмотрим организацию памяти и обращение к ней на примере микросхемы памяти NAND.

    Структура памяти NAND представлена на рис 8.2.

    Память в микросхеме делится на блоки, которые в свою очередь делятся на страницы, состоящие из байт. Т.о. для полной адресации байта памяти требуется знать номер блока, номер страницы и сам адрес байта в этой странице.

    Общая ёмкость памяти в этом случае равна произведению ёмкости страницы на количество страниц в блоке и на количество блоков в микросхеме памяти. Если у нас, как показано на рис 8.2, микросхема состоит из 2000 блоков, содержащих 128 страниц каждый. В странице содержится 8192 байта памяти. В итоге получаем: 8192*128*2000 = 2 Гбайта памяти. Обычно размер памяти указывают в битах. Т.е. размер рассматриваемой микросхемы составляет 16Гбит, что и будет указано у неё в документации.

    Соответственно, для получения одного байта информации на выводе R/W, отвечающем за чтение запись, устанавливается сигнал, говорящий, что будет чтение. Отправляется команда запроса на чтение байта данных. Затем формируется пакет вида, как показано на рис 8.3.

    В этом пакете А13-А0 – это адрес байта в странице, А20-А14 – это номер страницы, А32-А21 – это номер блока.

    В ответ на этот запрос микросхема должна выдать запрошенный байт. При этом, если требуется считать несколько байт подряд, то достаточно просто продолжать считывать данные, не обновляя адрес. Микросхема автоматически увеличивает адрес на единицу при каждом чтении. Т.е. при использовании данной микросхемы выгодно читать данные сразу страницами (в нашем примере по 8192 байта).

    Статьи к прочтению:

    ПЗУ — Постоянное Запоминающее Устройство

    Постоянное запоминающее устройство (ПЗУ) – ЗУ, предназначенное для хранения неизменяемой информации (программ, констант, табличных функций). В процессе решения задач ПЗУ допускает только чтение информации. В качестве характерного примера применения ПЗУ можно указать БИС ПЗУ, используемые в РС для хранения BIOS (Basic Input Output System – базовой системы ввода-вывода).

    В общем случае накопитель ПЗУ (массив его запоминающих ячеек) емкостью ЕПЗУ слов, длиною в r + 1 разрядов каждое, обычно представляет собой систему из ЕПЗУ горизонтальных (адресных) и r + 1 вертикальных (разрядных) проводников, которые в точках пересечения могут быть соединены элементами связи (рис. 1.46). Элементы связи (ЭС) – это плавкие вставки или p -n -переходы. Наличие элемента связи между j -м горизонтальным и i -м вертикальным проводниками означает, что в i -м разряде ячейки памяти номер j записана единица, отсутствие ЭС означает, что здесь записан нуль. Запись слова в ячейку номер j ПЗУ производится должной расстановкой элементов связи между разрядными проводниками и адресным проводом номер j . Чтение слова из ячейки номер j ПЗУ происходит так.

    Рис. 1.46. Накопитель ПЗУ емкостью ЕПЗУ слов, длиною в r + 1 разрядов каждое

    Код адреса A = j дешифрируется, и на горизонтальный проводник номер j накопителя подается напряжение от источника питания. Те из разрядных проводников, которые соединены с выбранным адресным проводником элементами связи, оказываются под напряжением U 1 уровня единицы, остальные разрядные проводники остаются под напряжением U 0 уровня нуля. Совокупность сигналов U 0 и U 1 на разрядных проводниках и образует содержимое ЯП номер j , а именно слово по адресу А .

    В настоящее время ПЗУ строят из БИС ПЗУ, у которых используются полупроводниковые ЭС. БИС ПЗУ принято делить на три класса:

    – масочные (МПЗУ);

    – программируемые (ППЗУ);

    – репрограммируемые (РПЗУ).

    Масочные ПЗУ (ROM – от Read Only Memory) – ПЗУ, информация в которые записывается с фотошаблона в процессе выращивания кристалла. Например, БИС ПЗУ 555РЕ4 емкостью 2 кбайта представляет собою генератор символов по коду КОИ-8. Достоинством масочных ПЗУ является их высокая надежность, а недостатком – низкая технологичность.

    Программируемые ПЗУ (PROM – Programmable ROM) – ПЗУ, информация в которые записывается пользователем при помощи специальных устройств – программаторов. Данные БИС изготавливаются с полным набором ЭС во всех точках пересечения адресных и разрядных проводников. Это повышает технологичность таких БИС, а значит, и массовость в производстве и применении. Запись (программирование) информации в ППЗУ производится пользователем по месту их применения. Делается это путем выжигания элементов связи в тех точках, в которых должны быть записаны нули. Укажем, например, на ТТЛШ-БИС ППЗУ 556РТ5 емкостью 0,5 кбайт. Надежность БИС ППЗУ ниже, чем у масочных БИС. Перед программированием их необходимо тестировать на наличие ЭС.

    В МПЗУ и ППЗУ невозможно изменять содержимое их ЯП. Репрограммируемые ПЗУ (РПЗУ) допускают многократную смену хранимой в них информации. Фактически РПЗУ – это ОЗУ, у которых t ЗП>>t ЧТ. Замена содержимого РПЗУ начинается со стирания хранившейся в нем информации. Выпускаются РПЗУ с электрическим (EЕPROM) и ультрафиолетовым (UVEPROM) стиранием информации. Например, БИС РПЗУ с электрическим стиранием КМ1609РР2А емкостью 8 кбайт может перепрограммироваться не менее 104 раз, хранит информацию не менее 15000 ч (около двух лет) во включенном состоянии и не менее 10 лет – в выключенном. БИС РПЗУ с ультрафиолетовым стиранием К573РФ4А емкостью 8 кбайт допускает не менее 25 циклов перезаписи, хранит информацию во включенном состоянии не менее 25000 ч, а в выключенном – не менее 100000 ч.

    Основное назначение РПЗУ – использование их вместо ПЗУ в системах разработки и отладки программного обеспечения, микропроцессорных системах и других, когда приходится время от времени вносить изменения в программы.

    Работу ПЗУ можно рассматривать как однозначное преобразование N -разрядного кода адреса А в n -разрядный код считываемого из него слова, т.е. ПЗУ является преобразователем кода (цифровым автоматом без памяти).

    На рис. 1.47 показано ус­ловное изображение ПЗУ на схемах.

    Рис. 1.47. Условное изображении ПЗУ

    Функциональная схе­ма ПЗУ приведена на рис. 1.48.

    Рис. 1.48. Функциональная схема ПЗУ

    По принятой в среде специалистов по запоми­нающим устройствам терминологии входной код называется адресом, 2n вертикальных шин – числовыми линейками, m выходов – разрядами храни-мого слова. При поступлении на вход ПЗУ любого двоичного кода всегда выбирается одна из числовых линеек. При этом на выходе тех элементов ИЛИ, связь которых с данной чис­ловой линейкой не разрушена, появляется 1. Это значит, что в данном разряде выбранного слова (или числовой ли­нейки) записана 1. На выходах тех разрядов, связь кото­рых с выбранной числовой линейкой выжжена, останутся нули. Закон программирования может быть и инверсным.

    Таким образом, ПЗУ – это функциональный узел с n входами и m выходами, хранящий 2n m -разрядных слов, которые при работе цифрового устройства не изменяются. При подаче на вход ПЗУ адреса на выходе появляется со­ответствующее ему слово. При логическом проектировании постоянное ЗУ рассматривают или как память с фиксиро­ванным набором слов, или как кодовый преобразователь.

    На схемах (см. рис. 1.47) ПЗУ обозначается как ROM. Постоян­ные запоминающие устройства обычно имеют вход разре­шения Е. При активном уровне на входе Е ПЗУ выполняет свои функции. При отсутствии разрешения выходы микро­схемы неактивны. Разрешающих входов может быть не­сколько, тогда микросхема отпирается по совпадению сиг­налов на этих входах. В ПЗУ сигнал Е часто называют чте­нием ЧТ (read), выбором микросхемы ВМ, выбором кристалла ВК (chip select – CS).

    Микросхемы ПЗУ приспособлены для наращивания. Чтобы увеличить число разрядов хранимых слов, все входы микросхем включают параллельно (рис. 1.49, а ), а с увеличившегося суммарного числа выходов снимается выход­ное слово соответственно увеличенной разрядности.

    Для уве­личения числа самих хранимых слов (рис. 1.49, б ) адресные входы микросхем включают параллельно и рассматривают как младшие разряды нового, расширенного адреса. Добав­ленные старшие разряды нового адреса поступают на де­кодер, который по входам Е выбирает одну из микросхем. При малом числе микросхем дешифрацию старших разря­дов можно делать на конъюнкции разрешающих входов са­мих ПЗУ. Выходы одноименных разрядов при увеличении числа хранимых слов должны объединяться с помощью функций ИЛИ. Специальных элементов ИЛИ не требуется, если выходы микросхем ПЗУ выполнены или по схеме от­крытого коллектора для объединения методом монтажного ИЛИ, или по схеме буфера с тремя состояниями, допуска­ющего непосредственное физическое объединение выходов.

    Выходы микросхем ПЗУ обычно инверсные, инверсным часто бывает и вход Е. Наращивание ПЗУ может потребовать введения буферных усилителей для увеличе­ния нагрузочной способности некоторых источников сигна­лов, учета вносимых этими усилителями дополнительных задержек, но в общем при сравнительно небольших объемах памяти, что типично для многих ЦУ (например устройств автоматики), наращива­ние ПЗУ обычно не порождает принципиальных проблем.

    Рис. 1.49. Увеличение числа разрядов хранимых слов при параллельном включении входов микросхем и увеличении числа хранимых слов при включении параллельно адресных входов микросхем

    Дата последнего обновления файла 23.10.2009

    Постоянные запоминающие устройства (ПЗУ)

    Очень часто в различных применениях требуется хранение информации, которая не изменяется в процессе эксплуатации устройства. Это такая информация как программы в микроконтроллерах, начальные загрузчики (BIOS) в компьютерах, таблицы коэффициентов цифровых фильтров в , и , таблицы синусов и косинусов в NCO и DDS. Практически всегда эта информация не требуется одновременно, поэтому простейшие устройства для запоминания постоянной информации (ПЗУ) можно построить на мультиплексорах. Иногда в переводной литературе постоянные запоминающие устройства называются ROM (read only memory — память доступная только для чтения). Схема такого постоянного запоминающего устройства (ПЗУ) приведена на рисунке 1.


    Рисунок 1. Схема постоянного запоминающего устройства (ПЗУ), построенная на мультиплексоре

    В этой схеме построено постоянное запоминающее устройство на восемь одноразрядных ячеек. Запоминание конкретного бита в одноразрядную ячейку производится запайкой провода к источнику питания (запись единицы) или запайкой провода к корпусу (запись нуля). На принципиальных схемах такое устройство обозначается как показано на рисунке 2.


    Рисунок 2. Обозначение постоянного запоминающего устройства на принципиальных схемах

    Для того, чтобы увеличить разрядность ячейки памяти ПЗУ эти микросхемы можно соединять параллельно (выходы и записанная информация естественно остаются независимыми). Схема параллельного соединения одноразрядных ПЗУ приведена на рисунке 3.


    Рисунок 3. Схема многоразрядного ПЗУ (ROM)

    В реальных ПЗУ запись информации производится при помощи последней операции производства микросхемы — металлизации. Металлизация производится при помощи маски, поэтому такие ПЗУ получили название масочных ПЗУ . Еще одно отличие реальных микросхем от упрощенной модели, приведенной выше — это использование кроме мультиплексора еще и . Такое решение позволяет превратить одномерную запоминающую структуру в двухмерную и, тем самым, существенно сократить объем схемы , необходимого для работы схемы ПЗУ. Эта ситуация иллюстрируется следующим рисунком:



    Рисунок 4. Схема масочного постоянного запоминающего устройства (ROM)

    Масочные ПЗУ изображаются на принципиальных схемах как показано на рисунке 5. Адреса ячеек памяти в этой микросхеме подаются на выводы A0 ... A9. Микросхема выбирается сигналом CS. При помощи этого сигнала можно наращивать объем ПЗУ (пример использования сигнала CS приведён при обсуждении ). Чтение микросхемы производится сигналом RD.


    Рисунок 5. масочного ПЗУ (ROM) на принципиальных схемах

    Программирование масочного ПЗУ производится на заводе изготовителе, что очень неудобно для мелких и средних серий производства, не говоря уже о стадии разработки устройства. Естественно, что для крупносерийного производства масочные ПЗУ являются самым дешевым видом ПЗУ, и поэтому широко применяются в настоящее время. Для мелких и средних серий производства радиоаппаратуры были разработаны микросхемы, которые можно программировать в специальных устройствах — программаторах. В этих ПЗУ постоянное соединение проводников в запоминающей матрице заменяется плавкими перемычками, изготовленными из поликристаллического кремния. При производстве ПЗУ изготавливаются все перемычки, что эквивалентно записи во все ячейки памяти ПЗУ логических единиц. В процессе программирования ПЗУ на выводы питания и выходы микросхемы подаётся повышенное питание. При этом, если на выход ПЗУ подаётся напряжение питания (логическая единица), то через перемычку ток протекать не будет и перемычка останется неповрежденной. Если же на выход ПЗУ подать низкий уровень напряжения (присоединить к корпусу), то через перемычку запоминающей матрицы будет протекать ток, который испарит ее и при последующем считывании информации из этой ячейки ПЗУ будет считываться логический ноль.

    Такие микросхемы называются программируемыми ПЗУ (ППЗУ) или PROM и изображаются на принципиальных схемах как показано на рисунке 6. В качестве примера ППЗУ можно назвать микросхемы 155РЕ3, 556РТ4, 556РТ8 и другие.


    Рисунок 6. Условно-графическое обозначение программируемого постоянного запоминающего устройства (PROM) на принципиальных схемах

    Программируемые ПЗУ оказались очень удобны при мелкосерийном и среднесерийном производстве. Однако при разработке радиоэлектронных устройств часто приходится менять записываемую в ПЗУ программу. ППЗУ при этом невозможно использовать повторно, поэтому раз записанное ПЗУ при ошибочной или промежуточной программе приходится выкидывать, что естественно повышает стоимость разработки аппаратуры. Для устранения этого недостатка был разработан еще один вид ПЗУ, который мог бы стираться и программироваться заново.

    ПЗУ с ультрафиолетовым стиранием строится на основе запоминающей матрицы построенной на ячейках памяти, внутреннее устройство которой приведено на следующем рисунке:


    Рисунок 7. Запоминающая ячейка ПЗУ с ультрафиолетовым и электрическим стиранием

    Ячейка представляет собой МОП транзистор, в котором затвор выполняется из поликристаллического кремния. Затем в процессе изготовления микросхемы этот затвор окисляется и в результате он будет окружен оксидом кремния — диэлектриком с прекрасными изолирующими свойствами. В описанной ячейке при полностью стертом ПЗУ, заряда в плавающем затворе нет, и поэтому транзистор ток не проводит. При программировании ПЗУ, на второй затвор, находящийся над плавающим затвором, подаётся высокое напряжение и в плавающий затвор за счет туннельного эффекта индуцируются заряды. После снятия программирующего напряжения индуцированный заряд остаётся на плавающем затворе, и, следовательно, транзистор остаётся в проводящем состоянии. Заряд на плавающем затворе подобной ячейки может храниться десятки лет.

    Описанного постоянного запоминающего устройства не отличается от описанного ранее масочного ПЗУ. Единственное отличие — вместо плавкой перемычки используется описанная выше ячейка. Такой вид ПЗУ называется репрограммируемыми постоянными запоминающими устройствами (РПЗУ) или EPROM. В РПЗУ стирание ранее записанной информации осуществляется ультрафиолетовым излучением. Для того, чтобы этот свет мог беспрепятственно проходить к полупроводниковому кристаллу, в корпус микросхемы ПЗУ встраивается окошко из кварцевого стекла.



    Рисунок 8. Внешний вид стираемого постоянного запоминающего устройства (EPROM)

    При облучении микросхемы РПЗУ, изолирующие свойства оксида кремния теряются, накопленный заряд из плавающего затвора стекает в объем полупроводника, и транзистор запоминающей ячейки переходит в закрытое состояние. Время стирания микросхемы РПЗУ колеблется в пределах 10 ... 30 минут.