• Постоянные запоминающие устройства (ПЗУ). Постоянная память Основу пзу составляют микросхемы памяти

    ПЗУ – память, информация в которой, будучи однажды записанной, изменению не подлежит. Например, программа загрузки в ОЗУ микропроцессорной системы информации из внешней памяти. Все типы ПЗУ используют один и тот же принцип построения схемы. Информация в ПЗУ представляется в виде наличия или отсутствия соединения между шинами адреса и данных.

    Условное графическое обозначение ПЗУ представлено на рис.26.10.

    Рис.26.10. Условное графическое обозначение ПЗУ

    Рис. 26.11. Схема ПЗУ

    На рис. 26.11 приведена схема простейшего ПЗУ. Для реализации ПЗУ достаточно использовать дешифратор, диоды, набор резисторов и шинные формирователи. Рассматриваемое ПЗУ содержит разрядных слова, т.е. его общий объем составляет 32 бит. Количество столбцов определяет разрядность слова, а количество строк – количество 8 разрядных слов. Диоды устанавливаются в тех местах, где должны храниться биты, имеющие значение логического «0» (дешифратор подает 0 на выбранную строку). В настоящее время вместо диодов ставят МОП-транзисторы.

    В табл. 26.1 приведено состояние ПЗУ, схема которого приведена на рис. 26.11.

    Таблица 26.1

    Состояние простого ПЗУ

    Слово Двоичное представление
    А0 А1 D1 D2 D3 D4 D5 D6 D7 D8

    Как правило, ПЗУ имеют многоразрядную организацию со структурой 2DM . Технологии изготовления самые разнообразные – КМОП, n-МОП, ТТЛ(Ш) и диодные матрицы.

    Все ПЗУ можно разделить на следующие группы: программируемые при изготовлении (масочные), с однократным программированием и перепрограммируемые.

    В запоминающих устройствах, программируемых при изготовлении (ПЗУ или ROM), информация записывается непосредственно в процессе их изготовления с помощью фотошаблона, называемого маской, на завершающем этапе технологического процесса. Такие ПЗУ называемые масочными, построены на диодах, биполярных или МОП транзисторах.

    Область использования масочных ПЗУ – хранение стандартной информации, например знакогенераторы (коды букв латинского и русского алфавита), таблицы типовых функций (синусы, квадратичные функции), стандартное программное обеспечение.

    Программируемые постоянные запоминающие устройства (ППЗУ, или PROM ) – ПЗУ с возможностью однократного электрического программирования. Этот вид памяти позволяет пользователю однократно запрограммировать микросхему памяти с помощью программаторов.

    Микросхемы ППЗУ построены на запоминающих ячейках с плавкими перемычками. Процесс программирование заключается в избирательном пережигании плавких перемычек с помощью импульсов тока достаточной амплитуды и длительности. Плавкие перемычки включаются в электроды диодов или транзисторов.

    На рис. 26.12 приведена схема ППЗУ с плавкими перемычками. Оно изготавливается со всеми диодами и перемычками, т.е. в матрице все «0», а при программировании пережигаются те перемычки, в ячейках которых должны быть логические «1».

    Рис. 26.12. Фрагмент схемы ППЗУ

    Репрограммируемые постоянные запоминающие устройства (РПЗУ и РПЗУ УФ) – ПЗУ с возможностью многократного электрического программирования. В ИС РПЗУ УФ (EPROM ) старая информация стирается с помощью ультрафиолетовых лучей, для чего в корпусе микросхемы имеется прозрачное окошко; в РПЗУ (EEPROM ) – с помощью электрических сигналов.

    Запоминающие ячейки РПЗУ строятся на n -МОП или КМОП транзисторах. Для построения ЗЭ используются различные физические явления хранения заряда на границе между двумя диэлектрическими средами или проводящей и диэлектрической средой.

    В первом варианте диэлектрик под затвором МОП транзистора делают из двух слоев: нитрида кремния и двуокиси кремния. Этот транзистор называется МНОП: металл – нитрид кремния – окисел – полупроводник. На границе диэлектрических слоев возникают центры захвата зарядов. Благодаря туннельному эффекту носители заряда могут проходить сквозь тонкую пленку окисла и скапливаться на границе раздела слоев. Этот заряд, являющийся носителем информации, хранимой МНОП-транзистором, приводит к изменению порогового напряжения транзистора. При этом пороговое напряжение возрастает настолько, что рабочее напряжение на затворе транзистора не в состоянии его открыть. Транзистор, в котором заряд отсутствует, легко открывается. Одно из состояний определено как логическая единица, второе – ноль.

    Во втором варианте затвор МОП транзистора делают плавающим, т.е. не связанным с другими элементами схемы. Такой затвор заряжается током лавинной инжекции при подаче на сток транзистора высокого напряжения. В результате заряд на плавающем затворе влияет на ток стока, что используется при считывании информации, как и в предыдущем варианте с МНОП транзистором. Такие транзисторы получили название ЛИЗМОП (МОП транзистор с лавинной инжекцией заряда). Так как затвор транзистора окружен изолятором, ток утечки очень мал и информация может храниться достаточно долго (десятки лет).

    В РПЗУ с электрическим стиранием над плавающим затвором транзистора размещают второй – управляющий затвор. Подача напряжения на него вызывает рассасывание заряда на плавающем затворе за счет туннельного эффекта. РПЗУ имеют весомые преимущества перед РПЗУ УФ, так как не требуют для перепрограммирования специальных источников ультрафиолетового света. ЗУ с электрическим стиранием практически вытеснили ЗУ с ультрафиолетовым стиранием.

    Фрагмент схемы РПЗУ с использованием двухзатворных транзисторов типа ЛИЗМОП показан на рис. 26.13. Запись логического нуля осуществляется в режиме программирования с помощью заряда плавающего затвора. Стирание информации, т.е. разряд плавающего затвора, означает запись логической единицы. В этом случае при подаче сигнала по линии выборки опрашиваемые транзисторы открываются и передают напряжение U ПИТ на линии считывания.

    Современные РПЗУ имеют информационную емкость до 4 Мбит при тактовой частоте до 80 МГц.

    26.5. Flash -память

    Основные принципы работы и тип запоминающих элементов Flash -памяти аналогичны ППЗУ с электрической записью и стиранием информации, построенной на транзисторах с плавающим затвором. Как правило, благодаря своим особенностям, Flash -память выделяют в отдельный класс. В ней производится стирание или всей записанной информации одновременно, или больших блоков информации, а не стирание отдельных слов. Это позволяет исключить схемы управления записью и стиранием отдельных байтов, что дает возможность значительно упростить схему ЗУ и достичь высокого уровня интеграции и быстродействия при снижении стоимости.

    Рис.26.13. Фрагмент схемы РПЗУ

    Современные тенденции развития электронных приборов требуют постоянного увеличения объема используемой памяти. На сегодня инженеру доступны микросхемы как энергозависимой памяти типа DRAM , которую характеризуют предельно низкая цена за бит и большие уровни интеграции, так и энергонезависимой Flash -памяти, себестоимость которой постоянно снижается и стремится к уровню DRAM .

    Потребность в энергонезависимой Flash -памяти растет пропорционально степени продвижения компьютерных систем в сферу мобильных приложений. Надежность, малое энергопотребление, небольшие размеры и незначительный вес являются очевидными преимуществами носителей на основе Flash -памяти в сравнении с дисковыми накопителями. С учетом постоянного снижения стоимости хранения единицы информации в Flash -памяти, носители на её основе предоставляют все больше преимуществ и функциональных возможностей мобильным платформам и портативному оборудованию, использующему такую память. Среди многообразия типов памяти, Flash -память на основе ячеек NAND является наиболее подходящей основой для построения энергонезависимых устройств хранения больших объемов информации.

    В настоящее время можно выделить две основных структуры построения флэш-памяти: память на основе ячеек NOR (ИЛИ-НЕ) и NAND (И-НЕ). Структура NOR (рис. 26.14, а) состоит из параллельно включенных элементарных ячеек хранения информации. Такая организация ячеек обеспечивает возможность произвольного доступа к данным и побайтной записи информации. В основе структуры NAND (рис. 26.14, б) лежит принцип последовательного соединения элементарных ячеек, образующих группы (в одной группе 16 ячеек), которые объединяются в страницы, а страницы – в блоки. При таком построении массива памяти обращение к отдельным ячейкам невозможно. Программирование выполняется одновременно только в пределах одной страницы, а при стирании обращение производится к блокам или к группам блоков.

    Рис.26.14. Структуры на основе NOR (a) и NAND (б)

    В результате различия в организации структуры между памятью NOR и NAND находят свое отражение в их характеристиках. При работе со сравнительно большими массивами данных процессы записи/стирания в памяти NAND выполняются значительно быстрее памяти NOR . Поскольку 16 прилегающих друг другу ячеек памяти NAND соединены последовательно друг с другом без каких-либо контактных промежутков, достигается высокая площадь размещения ячеек на кристалле, что позволяет получить большую емкость при одинаковых технологических нормах. В основе программирования флэш-памяти NAND лежит процесс туннелирования электронов. А поскольку он используется как для программирования, так и для стирания, достигается низкое энергопотребление микросхемы памяти. Последовательная структура организации ячеек позволяет получить высокую степень масштабируемости, что делает NAND-Flash лидером в гонке наращивания объемов памяти. Ввиду того, что туннелирование электронов осуществляется через всю площадь канала ячейки, интенсивность захвата заряда на единицу площади у NAND-Flash ниже, чем в других технологиях Flash -памяти, в результате чего она имеет более высокое количество циклов программирования/стирания. Программирование и чтение выполняются посекторно или постранично, блоками по 512 байт, для эмуляции общераспространенного размера сектора дисковых накопителей.

    Более детально особенности микросхем Flash -памяти можно рассмотреть на примере кристаллов серии HY 27xx(08/16)1G 1M фирмы Hynix . На рис. 26.15 показана внутренняя структура и назначение выводов этих приборов.

    Микросхема имеет следующие выводы:

    I/O 8-15 – вход/выход данных для х16 устройств

    I/O 0-7 – вход/выход данных, адресный вход или вход команд для х8 и х16 устройств;

    ALE – включение адресной защелки;

    CLE – включение защелки команд;

    – выбор кристалла;

    – разрешение чтения;

    – чтение/занят (выход с открытым стоком);

    – разрешение записи;

    – защита от записи

    V CC – напряжение питания;

    V SS – общий вывод.

    Рис.26.15. Схема внешних выводов (а), назначение выводов (б) и структурная схема (в) Flash -памяти

    Линии адреса мультиплексированы с линиями ввода/вывода данных на 8-ми или 16-ти разрядной шине ввода/вывода. Такой интерфейс уменьшает количество используемых выводов и делает возможным переход к микросхемам большей емкости без изменения печатной платы. Каждый блок может быть запрограммирован и стерт 100000 раз. Микросхемы имеют выход «чтение/занят» с открытым стоком, который может использоваться для идентификации активности контроллера PER (Program/Erase/Read ). Поскольку выход сделан с открытым стоком, существует возможность подключать несколько таких выходов от разных микросхем памяти вместе через один «подтягивающий» резистор к положительному выводу источника питания.

    Рис.26.16. Организация массива памяти NАND -структуры

    Массив памяти NAND -структуры организован в виде блоков, каждый из которых содержит 32 страницы. Массив раздел на две области: главную и запасную (рис. 26.16).

    Главная область массива используется для хранения данных, в то время как запасная область обычно задействована для хранения кодов коррекции ошибок (ECC ), программных флагов и идентификаторов негодных блоков (Bad Block ) основной области. В 8-битных устройствах страницы в главной области разделены на две полустраницы по 256 байт каждая, плюс 16 байт запасной области. В 16-ти битных устройствах страницы разделены на главную область объемом 256 слов и запасную объемом 8 слов.

    Память на основе ячеек NOR имеет сравнительно большие времена стирания и записи, но обладает доступом к каждому биту на чтение. Данное обстоятельство позволяет применять такие микросхемы для записи и хранения программного кода, который не требует частого перезаписывания. Такими применениями могут быть, например, BIOS для встраиваемых компьютеров или ПО для телевизионных приставок.

    Свойства NAND-Flash определили область ее применения: карты памяти и иные устройства хранения данных. Сейчас данный тип памяти применяется почти повсеместно в мобильных устройствах, фото- и видеокамерах и т.д. NAND-Flash лежит в основе практически всех типов карт памяти: SmartMedia , MMC , SecureDigital, MemoryStick

    Достигнутая в настоящее время информационная емкость Flash -памяти достигает 8ГБит, типовая совокупная скорость программирования и стирания составляет до 33.6 мС / 64 кБ при тактовой частоте до 70 МГц.

    Двумя основными направлениями эффективного использования Flash -памяти являются хранение редко изменяемых данных и замена памяти на магнитных дисках. Для первого направления используется Flash -память с адресным доступом, а для второго – файловая память.

    26.6. ОЗУ типа FRAM

    FRAM – оперативное энергонезависимое ЗУ, сочетающее высокое быстродействие и малую потребляемую мощность, присущие ОЗУ, со свойством хранения данных при отсутствии приложенного напряжения.

    В сравнении с EEPROM и Flash -памятью время записи данных в ЗУ этого типа и потребляемая мощность намного меньше (менее 70 нс против нескольких миллисекунд), а ресурс по циклам записи намного выше (не менее 10 11 против 10 5 …10 6 циклов для EEPROM ).

    FRAM должна стать в ближайшем будущем самой популярной памятью в цифровых устройствах. FRAM будет отличаться не только быстродействием на уровне DRAM , но и возможностью сохранять данные при отключении энергии. Словом, FRAM может вытеснить не только медленную Flash , но и обычную ОЗУ типа DRAM . Сегодня ферроэлектрическая память находит ограниченное применение, к примеру, в RFID -тэгах. Ведущие компании, в числе которых Ramtron, Samsung, NEC, Toshiba , активно развивают FRAM . Примерно к 2015 году на рынок должны поступить n -гигабайтные модули FRAM .

    Указанные свойства FRAM обеспечивает сегнетоэлектрик (перовскит), используемый в качестве диэлектрика накопительного конденсатора ячейки памяти. При этом сегнетоэлектрическое ЗУ хранит данные не только в виде заряда конденсатора (как в традиционных ОЗУ), но и виде электрической поляризации кристаллической структуры сегнетоэлектрика. Сегнетоэлектрический кристалл имеет два состояния, которые могут соответствовать логическим 0 и 1.

    Термин FRAM еще не устоялся. Первые FRAM получили название – ферродинамические ОЗУ. Однако в настоящее время в качестве запоминающих ячеек используется сегнетоэлектрик и сейчас FRAM часто называют сегнетоэлектрическим ОЗУ.

    Первые FRAM имели 2Т /2С -архитектуру (рис.26.17, а), на основе которой выполняется и большинство современных микросхем сегнетоэлектрической памяти. Ячейка такого типа, в которой каждому биту соответствует индивидуальный опорный бит, позволяет определить разницу зарядов с высокой точностью. А благодаря считыванию дифференциального сигнала исключается влияние разброса параметров конденсаторов ячеек. Позже появились FRAM с архитектурой 1Т /1С (рис.26.17, б). Достоинство микросхем с такой архитектурой – меньшая, чем в обычных схемах площадь ячейки и, следовательно, меньшая стоимость микросхемы в пересчете на единицу информационной емкости.

    На рис.26.18 приведена структурная схема сегнетоэлектрического ОЗУ (FRAM ) объемом 1 Мбит и параллельным интерфейсом доступа FM 20L 08 фирмы Ramtron . В таблице 26.1. показаны выводы микросхемы.

    FM 20L 08 – энергонезависимая память с организацией 128К×8, которая считывается и записывается подобно стандартному статическому ОЗУ. Сохранность данных обеспечивается в течение 10 лет, при этом, нет необходимости задумываться о надежности хранения данных (неограниченная износостойкость), упрощается проектирование системы и исключается ряд недостатков альтернативного решения энергонезависимой памяти на основе статического ОЗУ с резервным батарейным питанием. Быстрота записи и неограниченное количество циклов перезаписи делают FRAM лидером по отношению к другим типам энергонезависимой памяти.

    Рис.26.17. Ячейка памяти типа 2Т /2С (а) и 1Т /1С (б)

    Рис.26.18. Структурная схема FRAM FM 20L 08

    Лабораторна робота № 8

    Тема: Исследование работы оперативного запоминающего устройства (ОЗУ )

    1. Цель работы.

    Изучить работу оперативного запоминающего устройства в режимах записи и считывания информации, исследовать временные параметры этого устройства.

    Используемое оборудование и средства: персональный компьютер, среда Multisim 12 .

    Краткие теоретические сведения.

    Конструктивно любое ОЗУ состоит из двух блоков - матрицы запоминающих элементов и д е шифратора адреса . По технологическим соображениям матрица чаще всего имеет двухкоорд и натную дешифрацию адреса - по строкам и столбцам. На рис.1 показана матрица 16-битного ст а тического ОЗУ.

    Матрица состоит из 16 ячеек памяти mem _ i .

    Схема элемента матрицы (одной ячейки п а мяти) приведена на рис.2. Каждая ячейка п а мяти адресуется по входам X , Y путём выб о ра дешифраторами адресных линий по стр о кам Ах0…Ах3 и по столбцам Ау0…Ау3. Выбор осуществляется путем подачи по в ы бранным линиям матрицы сигнала лог. «1». При этом в выбранной ячейке памяти сраб а тывает двухвходовой элемент И (U 1 рис.2), подготавливая цепи чтения-записи информ а ции на входных D 10… D 13 или выходных DO0 … DO 3 разрядных шинах.

    Разрешающим сигналом для выдачи адреса является CS , который подаётся на вход ра з решения счётчика адреса (Addr _ cnt ) или т а кой же вход дешифраторов, подключённых к выходам счётчика.

    Рис. 1. Матрица 16-битного ОЗУ

    При записи бита в ячейку памяти (рис. 2) на соответствующей разрядной шине устанавливается 1 или 0, на входе WR /RD устанавливается «1», после стр о бирования счётчика или дешифратора адреса сигналом CS , срабатывают эл е менты 2И U 1, U 2 . Положительный пер е пад сигнала с элемента U 2 поступает на тактовый вход D -триггера U 4 , в резул ь тате чего в нём записывается 1 или 0, в з а висимости от уровня сигнала на его D -входе.

    Рис. 2. Схема ячейки памяти mem _ i .

    При чтении из ячейки памяти на входе WR / RD устанавливается 0, при этом срабатывают элеме н ты U 1, U 3, U 5 и на вход РАЗРЕШЕНИЕ ВЫХОДА буферного элемента U 6 поступает разреша ю щий сигнал, в результате чего сигнал с Q -выхода D -триггера передаётся на разрядную шину DO 0… DO 3.

    Для проверки функционирования ячейки памяти используется генератор слова (рис. 3).

    Рис. 3. Лицевая панель генератора слова с установками для схемы

    Для исследований режимов работы ОЗУ в лабораторной работе используется микросхема HM-65642/883, которая является ОЗУ статического типа. В отличие от ОЗУ, приведенном на рис.1, имеет объем 8192 x 8-bit ячеек.

    Данные для подключения микросхемы HM-65642/883приведены на рис. 4.

    Рис. 4. Обозначение выводов микросхемы ОЗУ типа НМ-65642/883.

    Управление осуществляется двумя сигналами: G - разрешение выборки, W - разрешение зап и си информ а ции. Адресные входы обозначены А , выходные сигналы обозначаются буквой D .

    Порядок выполнения работы.

    Експеримент 1 . Исследование ячейки оперативного запоминающего устройства (ОЗУ).

    Загрузить программу Multisim 12 из Главного меню.

    1. Соберите схему, изображенную на рис. 2.
    2. Изобразите какими должны быть входные и выходные сигналы на элементах И1  И6 при записи, хранении и считывании информации.
    3. Установите на генераторе слова комбинацию цифр, обеспечивающих запись в ячейку памяти в соответствии с номером варианта двоичной единицы.
    4.  И6.
    5. Установите на генераторе слова комбинацию цифр, обеспечивающих хранение в упомянутой ячейке памяти двоичной единицы.
    6. Просмотрите с помощью осциллографа и зарисуйте сигналы на входах и выходах элементов И1  И6.
    7. Установите на генераторе слова комбинацию цифр, обеспечивающих считывание двоичной единицы с ячейки памяти.
    8. Просмотрите с помощью осциллографа и зарисуйте сигналы на входах и выходах элементов И1  И6.

    Експеримент 2. Исследование матрицы ОЗУ .

    1. Соберите схему, изображенную на рис. 5.

    Рис.5. Схема виртуальной платы с матрицей ОЗУ.

    1. С помощью клавиш S 1… S 8 задать адреса ячеек области памяти (в соответствии с номером варианта) для считывания содержимого этих ячеек. Результаты записать в виде таблицы:

    Адрес Данные

    К 0111

    К+1 1011

    К+2 1101

    К+3 1110

    1. Записать данные с помощью клавиш S 9… S 16 в ячейки ОЗУ в соответствии с номером варианта. Данные индицируются в двоичном коде с помощью светодиодов, а адреса ячеек- с помощью цифровых индикаторов в шестнацатиричном коде.
    2. Данные, которые записывают в ячейки памяти в соответствии с номером варианта- следующие:

    а) бегущий «0»;

    б) бегущая «1»;

    в) бегущие «00»;

    г) бегущие «11»

    д) «нарастающий уровень»;

    е) «убывающий уровень»;

    ж) смена тетрады «11110000»;

    з) «чередование» 1010101010.

    5. Динамическую индикацию осуществить при переключении клавиш адреса S 1… S 8 на соответствующие выходы счетчика, который переключает адреса ячеек в результате поступления управляющих импульсов с генератора.

    6. Исследовать осциллограммы сигналов в режиме считывания данных.

    1. Наименование и цель лабораторной работы.

    2. Наименование каждого пункта работы, схемы, результаты измерений.

    3. Выводы по результатам исследований.

    ется процессоров RISC, то они близки к тому, чтобы выполнять по одной команде в каждом такте.

    Также с упрощением ЦП уменьшается число транзисторов, необходимых для его реализации, следовательно, уменьшается площадь кристалла. А с этим связано снижение стоимости и потребляемой мощности.

    Следует также иметь в виду, что благодаря своей простоте процессоры RISC не патентуются. Это также способствует их быстрой разработке и широкому производству. Между тем, в сокращенный набор RISC вошли только наиболее часто используемые команды. Ряд редко встречающихся команд процессора CISC выполняется последовательностями команд процессора RISC.

    Позже появилась концепция процессоров MISC, использующая минимальный набор длинных команд. Вслед за ними возникли процессоры VLIW, работающие со сверхдлинными командами. Быстродействие процессоров определяется в миллионах операций в секунду MIPS .

    Память в микропроцессорных устройствах

    В микропроцессорных устройствах память служит для хранения исходных данных программ обработки информации промежуточных и окончательных результатов вычисления.

    Выделяют два основных типа памяти:

    ∙ОЗУ - оперативное запоминающее устройство, используемое для хранения данных, поэтому эту память называют еще памятью данных. Число циклов чтения и записи в ОЗУ не ограничено, но при отключении питающего напряжения вся информация теряется;

    В современных микропроцессорах память ОЗУ представляет собой многоуровневую систему, в которой выделяют уровни сверхоперативной памяти (СОЗУ), ОЗУ, буферной памяти (БЗУ) и внешней памяти (ВЗУ).

    Каждый последующий уровень отличается от предыдущего емкостью и быстродействием.

    Емкостью называется максимальное количество информации, которая может быть записана в память.

    Быстродействие характеризуется длительностью операций чтения и записи - двух основных операций, выполняемых памятью.

    Для указанных уровней памяти емкость растет в направлении от СОЗУ к ВЗУ, а быстродействие в противоположном направлении.

    ∙ПЗУ - постоянное запоминающее устройство, предназначенное для хранения программ, поэтому часто эту память называют кодовой или памятью программ. Микросхемы ПЗУ способны сохранять информацию при отключенном электропитании, но могут быть запрограммированы только один или очень ограниченное число раз.

    Основные характеристики полупроводниковой памяти

    Основные характеристики памяти, которые необходимо учитывать при проектировании систем:

    ∙Емкость памяти определяется числом бит хранимой информации. Емкость кристалла обычно выражается также в битах. Важной характеристикой кристалла является информационная организация кристалла памяти MxN, где M - число слов, N - разрядность слова. При одинаковом времени обращения память с большей шириной выборки обладает большей информационной емкостью.

    ∙Временные характеристики памяти.

    1.1 Время доступа - временной интервал, определяемый от момента, когда центральный процессор выставил на шину адреса адрес требуемой ячейки памяти и послал по шине управления приказ на чтение или запись данных, до момента осуществления связи адресуемой ячейки с шиной данных.

    o Время восстановления - это время, необходимое для приведения памяти в исходное состояние после того, как ЦП снял с ША адрес, с ШУ сигнал «чтение» или «запись» и с ШД данные.

    ∙Удельная стоимость запоминающего устройства определяется отношением его стоимости к информационной емкости, т.е. определяется стоимостью бита хранимой информации.

    ∙Потребляемая энергия (или рассеиваемая мощность) приводится для двух режимов работы кристалла: режима пассивного хранения информации и активного режима, когда операции записи и считывания выполняются с номинальным быстродействием.

    ∙Плотность упаковки определяется площадью запоминающего элемента и зависит от числа транзисторов в схеме элемента и используемой технологии. Наибольшая плотность упаковки достигнута в кристаллах динамической памяти.

    ∙Допустимая температура окружающей среды обычно указывается отдельно для активной работы, для пассивного хранения информации и для нерабочего состояния с отключенным питанием. Указывается тип корпуса, если он стандартный, или чертеж корпуса с указанием всех размеров, маркировкой и нумерацией контактов, если корпус новый. Приводятся также условия эксплуатации: рабочее положение, механические воздействия, допустимая влажность и другие

    Типы микросхем постоянных запоминающих устройств (ПЗУ)

    Существуют следующие основные типы ПЗУ:

    ∙масочные ПЗУ - они программируются в процессе их изготовления путем нанесения маски из замкнутых (высокий уровень) и разомкнутых перемычек (низкий уровень), этот тип ПЗУ наиболее дешев, но при изготовлении крупной партией;

    ∙ПЗУ с плавкими перемычками или электрически программируемые (ЭПЗУ ) - эти микросхемы программируются потребителем путем пропускания импульсов тока до разрушения перемычек, соответствующих битам, которые должны стать нулевыми;

    ∙перепрограммируемые ПЗУ с электрической записью информации и стиранием ультрафиолетовым излучением (УФППЗУ ) - основа ячейки памяти микросхемы данного типа - МОП-транзистор с полностью изолированным «плавающим» затвором, при программировании окисел пробивается и на затворе накапливается заряд, который сохраняется там пока микросхема не будет подвергнута УФ-облучению, под его действием окисел становится проводящим; сопротивление канала транзистора зависит от заряда на затворе и будет определять бит, записанный в ячейку;

    ∙электрически стираемые ПЗУ(ЕЕPRОМ ) устроены аналогично УФППЗУ, но стирание происходит, как и запись, при подаче импульсов напряжения; это самый дорогой, но и самый удобный тип ПЗУ.

    ∙FLASH-память - наиболее популярная в настоящее время. Ее главное достоинство в том, что она построена по принципу электрической перепрограммируемости, т. е. допускает многократное стирание и запись информации с помощью программаторов. Минимальное гарантированное число циклов записи/стирания обычно превышает несколько тысяч. Это существенно увеличивает жизненный цикл и повышает гибкость микропроцессорных систем, так как позволяет вносить изменения в программу микропроцессора, как на этапе разработки системы, так и в процессе его работы в реальном устройстве.

    Типы микросхем ОЗУ

    Существует два типа микросхем ОЗУ:

    ∙статические ОЗУ, в которых основой запоминающей ячейки служит триггер;

    Постоянное запоминающее устройство (ПЗУ, ROM), которое еще называют встроенной программой, представляет собой интегральную микросхему, при изготовлении запрограммированную определенными данными. ПЗУ используются не только в компьютерах, но и в большинстве других электронных устройств.

    Прежде чем говорить о конкретных типах современных микросхем памяти, надо немного вспомнить прошлое и разобраться в основных принципах работы электронной памяти и особенностях ее адресации.

    Компьютеры, в отличие от людей, которые пользуются десятичной системой счисления, используют двоичную арифметику, т. е. в любом разряде машинного числа может находиться либо "0" -- нет, либо "1" -- да. Соответственно, и каждая ячейка электронной памяти компьютера должна запоминать одно из двух значений -- 0 или 1. Самое простое запоминающее устройство -- это набор тумблеров или реле, которые замыкают или размыкают электрическую цепь. Если вспомнить, то старинные вычислительные машины как раз использовали для оперативной памяти реле, а в качестве ПЗУ применялись обычные тумблеры (и это не удивительно, т. к. даже мини-ЭВМ 80-х годов прошлого века имели панель с набором тумблеров для ввода команд).

    Развитие полупроводниковых технологий привело к тому, что для электронной памяти персонального компьютера в большинстве случаев используются кремниевые интегральные микросхемы. А минимальная ячейка памяти в микросхеме -- это триггер, который в самом простейшем случае собирается на двух транзисторах. Но поскольку для управления триггером требуются цепи управления, то элементарная запоминающая ячейка современной статической памяти, которая применяется, в частности, для кэш-памяти, содержит иногда до десятка транзисторов. Для примера на рис. 12 показана схема ячейки памяти КМОП-микросхемы. В ней из шести КМОП-транзисторов только транзисторы V3 и V5 отвечают за хранение информации, а остальные используются по другому назначению.

    Так как в современном компьютере применяются микросхемы, содержащие сотни тысяч ячеек, то для упрощения управления запоминающие ячейки группируются в квадратные матрицы. Для обращения к конкретной ячейке памяти используется адрес, формируемый из номера строки и столбца (рис. 13). Как только на шинах столбцов и строк будет установлен правильный адрес нужной ячейки, на выходе матрицы появится напряжение, соответствующее информации, записанной в ячейку памяти. Заметим, что такой принцип адресации используется и для чтения или записи байта в оперативной памяти, но при этом за каждый разряд байта или слова отвечает своя запоминающая матрица, которая, чаще всего, находится в отдельной микросхеме.

    Для записи информации в конкретную ячейку микросхемы предназначен всего один вывод. Когда на шине адреса установится нужный адрес ячейки памяти, то, хотя сигнал записи будет подан на все ячейки, запись произойдет только в ту ячейку, которая будет в данный момент выбрана (адресована).

    Рисунок 12. Схема ячейки памяти КМОП-микросхемы

    Принцип записи и чтения ячеек памяти в запоминающей матрице хорошо иллюстрируется на примере ферритовой памяти (рис. 14). На заре компьютерной эры она представляла собой небольшие ферритовые колечки, находящиеся в узелках проволочной сетки. Чтобы сформировать сигнал чтения и записи, через все колечки продевался отдельный провод. Заметим, что для записи "1" и "0" использовалось свойство ферромагнетиков перемагничи-ваться под действием электрического тока. Самые маленькие ферритовые колечки были диаметром всего около 1 мм. С появлением полупроводниковых микросхем памяти о ферритовой памяти надолго забыли, но совсем недавно появились микросхемы FeRAM, в которых сочетается кремниевая технология производства микросхем и свойство ферромагнитных материалов изменять свое сопротивление в зависимости от приложенного магнитного поля.

    Процессоры имеют шину данных, кратную 8 разрядам, например, 8, 16, 32 или 64. В старых персональных компьютерах электронная память собиралась из микросхем, имеющих, например 64, 128, 256 и т. д. ячеек. На системных платах персональных компьютеров IBM PC можно было увидеть ряды микросхем памяти, занимающих там слишком много места. Чтобы уменьшить количество микросхем и упростить их электрические соединения друг с другом, на одном кремниевом кристалле стали создавать несколько отдельных матриц запоминающих ячеек. Наиболее популярными оказались варианты, когда микросхема памяти имеет разрядность равную 4 и 8, что позволило уменьшить количество корпусов на плате.

    Рисунок 13

    В документации и прайс-листах на микросхемы памяти всегда указывается не только общий ее объем, но и как организованы ячейки памяти. Например, ниже приводятся строчки из прайс-листа на микросхемы динамической памяти DDR и SDRAM:

    · DDR 256Mb, 32Мх8, 266MHz;

    · DDR 128Mb, 1бМх8, 266MHz;

    · SDRAM 256Mb, 32Mx8, 133MHz;

    · SDRAM 128Mb, 16Mx8, 133MHz.


    Рисунок 14. Принцип записи и чтения ячеек памяти в запоминающей матрице

    Заметьте, что в начале идет условное обозначения типа микросхемы, а в конце указывается максимальная тактовая частота шины, на которой они могут работать. Объем памяти в микросхеме указывается в двух вариантах: 256Mb -- общее количество ячеек памяти в микросхеме; 32Мх8 -- это обозначение показывает, что на каждый разряд приходится по 32 Мбайт (также используется термин "глубина адресного пространства", от англ, address depth). Если умножить 32 Мбайт на 8, то получается 256 Мбайт